VHDL Internal Signal Declaration gives Driver Error -


here simple code snipped problem compiler can not resolve multiple constant drivers net "led_int[0]".

architecture bdf_type of test    signal led_int : std_logic_vector(4 downto 0);    component misc     port (       reset_reset_n                       : in  std_logic;       userleds_external_connection_export : out std_logic_vector(4 downto 0)     );   end component; begin    b2v_m1 : misc     port map (       reset_reset_n =>                       nios_reset_n,       userleds_external_connection_export => led_int     );    led_int(0) <= '0';   userled <= led_int; end architecture; 

why same error message here error (10028): can't resolve multiple constant drivers net "led_int[0]" @ test.vhd(11)?

how can solve simple problem?

you have 2 driver led_in(0) signal.

led_int(0) <= '0'; pulling low , userleds_external_connection_export => led_int pulls either high or low depending on in misc module.

you not allowed have 2 drivers 1 signal in vhdl. if wan't 2 drivers need logic in between them - such or gate , and gate, multiplexer or similiar.


Comments

Popular posts from this blog

javascript - Chart.js (Radar Chart) different scaleLineColor for each scaleLine -

apache - Error with PHP mail(): Multiple or malformed newlines found in additional_header -

java - Android – MapFragment overlay button shadow, just like MyLocation button -